当前位置:论文写作 > 毕业论文范文 > 文章内容

spwm和fpga研究生毕业论文范文 spwm和fpga方面有关硕士论文开题报告范文2万字有关写作资料

主题:spwm和fpga 下载地址:论文doc下载 原创作者:原创作者未知 评分:9.0分 更新时间: 2024-03-07

spwm和fpga论文范文

《基于FPGA的三相SPWM变频变压电源》

本文是关于spwm和fpga相关研究生毕业论文范文与压电类硕士学位论文范文.

摘 要: 针对传统的变频变压电源采用的模拟控制技术的不足,设计一种基于FPGA的三相SPWM变频变压电源.对主要的硬件电路和基于FPGA的数字控制电路进行研究;分析SPWM波的调频调压原理;通过改变频率控制字来控制开关管生成频率可调的电压,调节调制度和PWM波的占空比来调节输出电压幅值.研究结果表明,系统实现了输出频率和幅值可调的三相正弦交流电,相位彼此相差为120°,输出电压幅值在0~25 V内可调,输出频率在0~100 Hz范围内以0.1 Hz的精度调节.仿真与实验结果证实了该设计方性和可行性.

关键词: 变频变压电源; 三相SPWM; FPGA; 逆变电路; 频率控制字; 调制度; 占空比

中图分类号: TN773?34; TM921 文献标识码: A 文章编号: 1004?373X(2020)02?0009?05

Study of three?phase SPWM VVVF power supply based on FPGA

XU Bin, WANG Chuanli, YU Caofeng, XIE Tian

Abstract:A three?phase SPWM VVVF power supply based on FPGA is designed to improve the shortcomings of the analog control technology used in the traditional VVVF power supply. The main hardware circuit and the digital control circuit based on FPGA are studied, and the principle of frequency modulation and voltage regulation of SPWM we is analyzed. The switching tube is controlled by changing the frequency control word to generate the frequency adjustable voltage, the modulation degree and duty cycle of PWM we are adjusted to regulate the output voltage amplitude. The research results show that the system can realize three?phase sinusoidal AC with adjustable output frequency and amplitude. The phase difference is 120°, the output voltage amplitude is adjustable within 0~25 V, and the output frequency is adjustable within 0.1 Hz in the range of 0~100 Hz. The simulation and experimental results verify the accuracy and feasibility of this design scheme.

Keywords:VVVF power supply; three?phase SPWM; FPGA; inverter circuit; frequency control word; modulation degree; duty cycle

0 引 言

随着电力电子技术和数字化控制技术的快速发展,传统模拟变频电源存在诸多缺陷[1?2],如线路复杂,难以避免硬件电路的温漂问题,导致系统参数漂移.另外考虑到控制系统须满足安全稳定性高、脉冲控制信号的输出精度高、实时性强、易修改、可现场编程以及集成度高等要求[3?4],基于FPGA的变频变压电源数字控制电路的设计便应运而生.FPGA用硬件连线实现算法,提高了运算速度,采用高速并行计算的工作方式,提高了系统的抗干扰性能[5?7].

本文介绍了基于FPGA的三相SPWM变频变压电源的设计方案,电源的硬件电路输入端接入220 V/50 Hz工频交流电,通过FPGA EP4CE10F17C8芯片产生的控制信号来控制逆变主电路和母线调压电路,最终输出频率和幅值可调的三相正弦交流电.输出电压幅值在0~25 V内可调,输出频率在0~100 Hz内调节,频率分辨率为0.1 Hz.经仿真与实验验证了该设计的正确性.

1 系统设计的基本方案

本文所设计的变频变压电源是通过FPGA来控制单相交流电向三相交流电的转换,其变频变压功能可以改变三相交流电的输出频率和幅值.系统设计的基本原理图如图1所示,主要包括以下4个功能模块:FPGA数字控制电路、开关电源电路、直流母线调压电路和逆变主电路等.其中直流母线调压电路和逆变电路是该电源的关键,本文主要研究了这两部分.FPGA用来控制整个变频变压电源,其中包括产生用于控制逆变电路的三相SPWM波和用于调节直流母线电压的PWM波.

2 调频调压原理

调节变频变压电源的幅值一般主要是通过改变调制度和调节母线电压来实现的,本设计综合两种调压方式,使变频变压电源适应更加复杂的环境.

假设变频变压电源输出电压幅值为Um和输出电压频率为f.由此可知,Um的大小取决于母线电压U母线、调制度M,另外母线电压的调节范围与PWM波的占空比D有关,则其关系式可近似表达为:

[Um等于MDU母线] (1)

式中,调制度M被定义为正弦调制波幅值与三角载波幅值的比.

根据开关电源得到的直流母线电压为50 V,则由式(1)可得:

[Um等于50MD](2)

输出电压的频率f与载波频率、调制波频率、载波比等有关,其中调制波频率又与频率控制模块输出的频率控制字fcw相关.因此本文通过改变频率控制字fcw的大小来改变输出电压的频率f的大小,其关系表达式近似表示为:

[f等于fcw·fclk2N] (3)

式中: [fclk]为系统时钟;N为相位累加器的位数.

3 系统硬件电路设计

3.1 母线调压电路的设计

由上文知,改变母线电压可以调节输出电压幅值,而母线电压的大小与PWM波的占空比有关.因此,本文是通过改变PWM波的占空比来间接地调节输出电压幅值.直流母线调压电路如图2所示.

图2中MAX627是MOS管的驱动芯片,FPGA产生的PWM波经过驱动芯片后则可以驱动MOS管.从MAX627输出的PWM波依次经过电容滤波和脉冲变压器传输到MOS管的栅极,以此来控制MOS管的导通与截止,从而控制母线电压的大小.

3.2 逆變电路的设计

本设计中逆变电路的功能是把直流母线电压转化为正弦交流电压,电路原理图如图3所示.

FPGA输出U,V,W三相相位彼此相差120° SPWM波信号,分别控制着三个逆变半桥,每一相分为两路共六路,每两路SPWM波(如DH_U和DL_U)互补且严格控制它们的死区时间.由于FPGA输出的三相SPWM波不能直接驱动MOS管,因此须经过驱动电路后才能控制MOS管的导通与截止,从每个半桥输出的电压经过LC滤波就可以得到三相交流电.其中MOS管的驱动芯片为IR2101,MOS管的型号为IRFZ44N.

4 基于FPGA数字控制电路的设计

4.1 正弦调制波模块

直接数字式频率合成器(Direct Digital Synthesizer,DDS)是一项关键的数字化技术.与传统的频率合成器相比,其主要特点是低功耗、高分辨率、快速转换时间、相位干扰小等而得到广泛应用[8?9].图4为DDS设计原理框图.

基于DDS的正弦波设计,是将正弦信号经过取样、量化,存于ROM中.正弦波幅值获取的方法有很多,本设计借助Matlab软件编写正弦波程序直接生成ROM的初始化文件(.mif)格式;然后使用Altera的QuartusⅡ软件调用LPM_ROM模块实现ROM存储器;最终将会得到数字正弦波.图5为该模块的设计原理图.

本文将一个周期的正弦波等分2N个点,并将各点对应的幅值存储在ROM中,输出波形的频率公示如式(3)所示.

本设计的频率分辨率为0.1 Hz,频率的调节范围为0.1~100 Hz.另外所选用的芯片晶振的频率为50 MHz,经过倍频后得到的系统时钟为100 MHz.根据设计要求取N等于30,则输出频率分辨率(单位:Hz)为:

[Δf等于fclk2N等于100×106230等于0.093≈0.1](4)

可知,要实现频率0.1~100 Hz的调节,则fcw的取值为1~210.由于使用的FPGA内部ROM容量有限,因此这里选用累加器的高10位对ROM进行寻址,即存储1 024个正弦波幅值.

4.2 三角载波模块

三角波是常用的载波信号,基于FPGA实现三角载波的方法有很多,为了节省存储空间及设计的载波的待定输出,直接用Verilog HDL语言编程实现.所设计的三角载波是根据加减循环计数器原理生成,输出三角波的频率ftri为:

[ftri等于fclk2C](5)

式中:C为三角波的峰值;fclk为系统参考时钟.

输出三角波的频率与所控制的MOS管的开关频率大小相同,根据式(5)可以求出C的值.

4.3 带死区的比较模块

由于MOS管的导通与关断都需要时间,为了防止桥臂上的两个MOS管同时导通而发生短路的情况,需要使同相上下桥臂驱动信号错开一个死区时间[10?11].因此,在设计时必须设置死区时间,死区的大小由具体的功率器件决定.本文将采用状态机的设计方法把死区模块与比较模块综合在一起,节省了存储空间,部分代码如下:

always@(current_state)

begin

case(current_state)

s0:begin DH_r<等于0;DL_r<等于0;end

s1:begin DH_r<等于0;DL_r<等于1;end

s2:begin DH_r<等于0;DL_r<等于0;end

s3:begin DH_r<等于1;DL_r<等于0;end

endcase

end

基于正弦调制波的生成原理,可以生成0°,120°和240°三相正弦波,将三相正弦波与相同的三角载波送入带死区的比较模块进行比较,当正弦波的输出值大于等于三角波的输出值时,比较器输出高电平,反之则反.图6为三相SPWM波设计原理图.

4.4 PWM模块

为了调节直流母线电压设计了PWM模块,该模块的功能是生成一个占空比可调的PWM波,其主要由可逆计数器(输出变化范围50~450)、三角波计数器(最大计数值为500)和比较器三部分组成.图7为PWM模块的设计原理图.

5 基于QuartusⅡ的仿真与实验

5.1 SPWM模块仿真

本文采用Modelsim软件对程序进行仿真,图8为正弦调制波仿真波形.其中频率控制字fcw分别设置为300,600,900,而且设置时间长度相同,从图中可以发现,输出波形的频率与频率控制字成正比.

图9为三角载波仿真波形,在时钟上升沿实现计数器加减,所生成的三角波是对称波.

图10为三相SPWM仿真波形,从图中可以看出三相SPWM波相位互差120°,每一相两路波形为互补关系,且存在死区时间.

5.2 PWM模块仿真

PWM模块仿真波形如图11所示.通过数字板上的按钮来控制可逆计数器的增减,从而来控制PWM波的占空比.从图中可以看出,当计数器为450和50时,PWM波的占空比差别比较明显.

5.3 实验结果

图12为数字示波器输出的U相SPWM波形,從图中可以看出,U相两路波形互补且之间存在死区时间.图13为PWM波形,通过改变计数器的数值来改变其占空比,输出信号电平是3.3 V.

根据本文所设计的变频变压电源的基本结构制作了样机.由上面理论分析知,当直流母线电压为50 V,改变频率控制字、调制度M以及PWM波的占空比D,就可以实现变频电源的调频调压,实验结果如下:

1) 频率控制字fcw等于200,调制度M等于0.8,占空比D等于0.8时,输出的电压波形如图14所示.

2) 频率控制字fcw等于250,调制度M等于0.7,占空比D等于0.7时,输出的电压波形如图15所示.

3) 频率控制字fcw等于300,调制度M等于0.7,占空比D等于0.7时,输出的电压波形如图16所示.

6 结 语

根据变频电源高频化、数字化的发展方向,本文研究了基于FPGA的三相SPWM变频变压电源,分别设计了变频变压电源的数字控制电路和硬件模拟电路.基于FPGA产生的三相SPWM波和PWM波分别控制着逆变主电路和直流母线调压电路,通过改变频率控制字、调制度以及占空比来实现电源的调频调压.各模块的仿真结果满足了系统的设计要求,实验验证了本设计方案的可行性.结果表明,该变频变压电源输出波形质量较好、性能稳定、运行可靠、实时性强、可在线调节,是较为理想的变频变压电源.这对于变频变压电源进一步推广应用有重要的实际意义.

参考文献

[1] 张鹏超.基于DSP的三相逆变电源研制[J].电源技术,2011,35(9):1154?1156.

[2] 王文蓉.基于SVPWM技术的三相变频变幅逆变电源[J].仪表技术与传感器,2017(1):169?172.

[3] 刘健,张号,曾华,等.基于FPGA技术的三电平自然采样SPWM全数字化理论研究与实现[J].中国电机工程学报,2017,37(5):1498?1506.

[4] 向毅.基于FPGA的电阻焊电源的研究与设计[D].保定:河北大学,2017.

[5] 李能菲,谢苗苗.基于FPGA的逆变控制系统的研究[J].现代电子技术,2012,35(14):189?191.

[6] 邹祎,马晓军,魏曙光.基于FPGA的大功率电力电子器件仿真[J].电力电子技术,2017,51(5):115?117.

[7] 刘必旺,王宝忠.基于FPGA控制的开关电源的仿真与设计[J].科学技术与工程,2012,31(12):8281?8286.

[8] 项春萍.基于DDS的高速定时同步方法[J].现代电子技术,2012,35(9):68?69.

[9] 张兴红,蔡伟,邱磊,等.基于FPGA与DDS 技术的可调超声波驱动电源设计[J].仪表技术与传感器,2015(6):84?87.

[10] ABRONZINI U, ATTAIANESE C, D’ARPINO M, et al. Steady?state dead?time compensation in VSI [J]. IEEE transactions on industrial electronics, 2016, 63(9): 5858?5866.

[11] 赖梓扬,张希,张智敏,等.计及系统性能提升的谐振式无线充电开关器件死区优化[J].电力系统自动化,2017,41(14):176?181.

作者简介:徐 彬(1992—),男,安徽阜阳人,硕士研究生,主要研究方向为精密驱动与控制技术.

王传礼(1964—),男,博士,教授,博士生导师,主要研究方向为流体传动与控制技术.

该文总结:上文是大学硕士与spwm和fpga本科spwm和fpga毕业论文开题报告范文和相关优秀学术职称论文参考文献资料,关于免费教你怎么写压电方面论文范文.

spwm和fpga引用文献:

[1] spwm和fpga研究生毕业论文范文 spwm和fpga方面有关硕士论文开题报告范文2万字
[2] fpga论文写作资料范文 关于fpga论文写作技巧范文10000字
[3] fpga和ntt论文如何怎么撰写 关于fpga和ntt相关本科毕业论文范文2万字
《spwm和fpga研究生毕业论文范文 spwm和fpga方面有关硕士论文开题报告范文2万字》word下载【免费】
spwm和fpga相关论文范文资料